资料

NEWS

您现在的位置:首页 > 资料管理 > 测试技术

EDA实验系统 CPU升级款+4代芯片 型号ZY640-D71498 库号D71498

发布时间:2024/03/29 08:58:49 发布厂商:东方化玻(北京)科技有限公司 >> 进入该公司展台
EDA实验系统 CPU升级款+4代芯片 型号:ZY640-D71498

库号:D71498


ZY640-D71498 CycloneII基础型EDA实验系统

一、实验系统概述

ZY640-D71498 EDA实验教学系统是适合工科类进行EDA课程教学实验以及课程设计的,系统由四部分组成,包括:可编程逻辑器件部分、单片机小系统部分、外围接口部分,实验内容丰富生动形象,能够的激发学生的兴趣,使学生老师在教学的过程中能够寓教于乐,同时在学EDA的同时可以加强对控制、通信、单片机等课程进行加深教学,让学生学有所得。

二、实验系统性能特点

1、FPGA板主芯片采用ALTERA CycloneII系列中的EP2C5,用户可换其它板;

2、板自带SDRAM与FLASH,可用于NIOSII、IP CORE

设计验计;

3、单片机小系统可单独使用也可与板相结合成

综合的系统设计;

4、丰富的实验模块,在数字电路的基础上增加了控制类、接口类、通信类的实验模块;

5、二个时钟源,四路输出,每路相对独立, 24MHZ—1HZ的频率能满足用户要求;

6、CPLD/FPGA主芯片I/O口开放,用户可以任意定义;

7、丰富的样板实验程序,在常规的EDA实验基础上增加了交通灯、电梯、步进电机、

直流电机、PS2、VGA、音乐、键盘等实验,实验内容形象生动;

8、MAXPLUSII  10.XX、QUARTUS开发软件,支持多种语言输入,自带LICENSE;

9、详细的操作手册,含软、硬件的使用说明,各模块的功能说明。

三、实验系统组成

1、8 位拨动开关输入模块

2、8位按键开关输入模块

3、4*4矩阵键盘输入模块

4、8位LED显示模块

5、8*8点阵显示模块

6、1602字符液晶显示模块

7、8通道8位并行AD转换模块

8、2路8位并行DA转换模块

9、0~5V模拟量输出

10、蜂鸣器、喇叭输入接口模块

11、1个四向模拟交通灯控制模块

12、1个四相步进电机控制模块

13、1个速度可控、可测直流电机模块

14、1个VGA接口

15、2个UART串行通信接口

16、S51单片机小系统模块

17、固定脉冲输出24MHZ-1HZ

18、CPU板

19、实验系统工作电源5V/2A,12V/0.7A,带短路保护功能。

四、CPU板详细组成

1、FPGA板主芯片采用ALTERA CycloneII系列中的EP2C5Q208C8N。

2、FPGA内门电路高达10万门,内部使用RAM作电路结构,速度高达几百MHZ,且可任意规划改电路,是一个可随心所欲的设计芯片

3、8M-Byte SDRAM

4、2M-Byte Nor Flash

5、4M-Bits EPCS4

6、JTAG编程调试接口

7、2个扩展接口,扩展FPGA共66个IO接脚

8、1个复位按键和一个用户LED显示

9、5V/DC电源输入接口

五、实验项目

1、基于QUARTUSII图形输入电路的设计

2、基于VHDL格雷码编码器的设计

3、含异步清零和同步使能的加法计数器

4、八位七段数码管动态显示电路的设计

5、数控分频器的设计

6、图形和VHDL混合输入的电路设计

7、基本触发器的设计

8、可控脉冲发生器的设计

9、基于VHDL的抢答器的设计

10、键盘接口电路的设计

11、AUDIO电子音乐实验

12、直流电机的测速和速度控制实验

13、步进电机驱动控制

14、交通灯控制电路实验

15、PS2接口键盘显示实验

16、VGA彩条信号发生器的设计

17、数字频率计的设计

18、多功能数字钟的设计

19、电梯控制的设计

20、AD/DA转换实验

21、正负脉宽调制信号发生器设计矩阵

22、基于VHDL的表决器的设计

23、NIOSII IP CORE设计

1)小NUISII系统设计

2)设计一个带SDRAM和FLASH的NIOSII系统

3)FLASH读写操作-流水灯的设计

……

六、配套软件

根据不同用户要求可配套不同版本的软件,随机配套的软件功能齐全,支持VHDL硬件描述语言等多种设计输入。均支持功能和时序分析,软件可运行在Windows9X/2000/NT及Windows XP操作系统下。

七、产品配置

设备名称 CycloneII基础型EDA实验系统

型 号 ZY640-D71498

典型板 EP2C35

工作电压 ~220v±10%,50Hz±1Hz

附件清单 1 串口线 × 1 2 USB下载线 × 1

3 Quartus软件 × 1 4 实验例程 × 1

5 2号实验导线 × 40 6 实验指导书 × 1

注:使用本实验箱的配套仪器:电脑、示波器、表 。

EDA实验系统
EDA实验系统
EDA实验系统
上一篇:朔州市山阴县叶片泵PV2R1-19-FR压铸机油泵
下一篇:热销REITER气动双隔膜供漆泵

以上信息由企业自行提供,信息内容的真实性、准确性和合法性由相关企业负责,仪器仪表交易网对此不承担任何保证责任。
温馨提示:为规避购买风险,建议您在购买产品前务必确认供应商资质及产品质量。

首页| 关于我们| 联系我们| 友情链接| 广告服务| 会员服务| 付款方式| 意见反馈| 法律声明| 服务条款


在手机上查看